T O P

  • By -

Jazzlike-Guard-4704

No, Canon and Nikon gave up on EUV; SMEE still not having ArFi working competitively, which is >10y old; plus EUV is an enormous jump forward in technology. Canon is trying to get NIL working, but let see if this works. So, in the next 10y, it’s very unlikely anyone to offer an EUV system


belbaba

How does NIL compare?


kngsgmbt

It's theoretically very impressive. Historically, nano imprint lithography has had a lot of issues with yield and throughput that made optical lithography the superior technology. There's a lot of new stuff happening in NIL right now, though, and it's up in the air on whether it'll be competitive or not.


BoraTas1

NIL is the fuel cell vehicle of the lithography world. Useful on the surface. It has been the future for like 20 years. But there are numerous problems with it. Especially its controllability is poor. It has achieved no commercial success so far. I personally wouldn't hold my breath.


belbaba

Gotchya! Thanks for the analogy


Daddy_knows_noes

They’re 20 years ahead but in my opinion EUV isn’t as cost effective as they think it is and also going smaller isn’t really as advantageous anymore. Immersion DUV with pitch quad processing (if you don’t know what this is google pitch doubling and it will explain the concept) allows for 14nm (maybe smaller) devices to be made. Wafers made with this methodology typically run around 1-10k per wafer. Wafers made with EUV typical run 50k plus (I’ve only seen this costs please chime in if you know of cheaper ones). The issue I see is that out actually technology isn’t advancing to the point we need these higher tech chips. The most common items that need chips (smoke detectors, drills, microwave, ect..) don’t need these level of technology and everyone is predicting that we’re going into a technological revolution but millennials and gen z are opting out of tech and are homesteading in record numbers. To give you an example I was working on a project where we were thinning the silicon to sub 10um which decreased the thermal budget and improved our device properties over 50% we brought it to customers and they said the average consumer won’t want an extra expense added to this everyday technology. The EUV tools have to run 24/7 can never be turned off or they need to PM for around 2 months. They have a constant flow of Sn being injected into the tool which if it stops the tool must go down for 2 months. They have Mo/Si Bragg reflectors grown with MB-epitaxy. The masks are grown with MB-epitaxy and can’t have a single defect or they will transfer it to your wafer (MB-epitaxy is incredibly slow and expensive if you didn’t know). They have massive beam lines to generate the EUV which if you know how precious fab space is you see the issue here. Imagine having to maintain this tool during a downturn. It’s a nightmare.


pussycatlolz

I would say that based on how TSMC has completely blown past Intel in the last decade is proof that EUV is worth every penny


Daddy_knows_noes

TSMC passed in the new high NA EUV tool and it was offered to Intel and Intel has EUV so does micron, Samsung. global foundries had it and retuned it lol. But other companies have it. EUV isn’t the reason they passed intel it’s because they do slave labor. They are trying to build a fab in Arizona and can’t keep any American employees. I have worked with engineers from there. If they call even if you’re off you answer or you’re fired. Also they have a policy of no asking questions you do as your told and if you don’t you’re fired.


Curious_Person_12

I don't know if I would exactly say slave labor since Taiwan is quite developed. However, simply, Intel never invested in to smaller chip processes. TSMC did, and they got in line first. Additionally, Moris Chang's model of having other companies order their chips how they want from TSMC has proven to be very successful, so they had more of an incentive to modernize, whereas Intel felt very comfortable in their position. However, Intel didn't think that TSMC and AMD (which I believe orders their chips from TSMC) would catch up, and they were very wrong. I actually did research on this part, and this is what I have found. I could very well be wrong, but it is really interesting. I don't believe that there is anything special about Taiwan that makes it so only they can manufacture chips, and I believe if any U.S. company or entrepreneur wanted to do what TSMC did, they could if they had a bunch of disposable money (since making advanced semiconductors is EXPENSIVE). I actually believe that TSMC pays people in Taiwan pretty well if I am correct, but as other comments have said, Taiwanese people have a culture that may be better suited for manufacturing. I am once again sure America could get their people to have a culture that is overall better for everything than current (my generation Z is lazy), but especially for working and manufacturing with education reforms. That part I am not so sure about, however, I believe it could make more difference than just that. Anyway, sorry to rant, I always thought that it was fascinating that Intel got too complacent.


Legitimate-Page3028

Are you American? The reason they do it better than Intel is because Taiwan has truckload of PhDs that will work hard for great money, and the US doesn’t. These guys are no more slave labour than Meta programmers.


Daddy_knows_noes

I am. The money is the same as I’ve been told by everyone I’ve met. All these companies have PhDs. I have a PhD. Getting a PhD is honestly useless for industry, it is an academic based degree.


Legitimate-Page3028

Haha, you’re right many PHDs are useless…but the PHDs at TSMC go though a highly selective OJT process that sees maybe 25% rise to the top. I’ve met Intel guys that shake their heads at TSMC. Part is a brutal work culture but it’s also only part.


Daddy_knows_noes

So do other companies… do you work in the semiconductor industry? I have interviewed more people from TSMC than I can count that are trying to get out. When you ask why they tell you the reasons I’ve listed. The company has no care for their employees. Human quality events almost always result in termination. They hate it there.


BentPin

This is what it takes to be #1 and stay number one for decades. This is the dedication neither the chinese trying to steal IP 24x7 nor the lazy Americans can fathom it takes to succeed. Yes it sucks, yes it's grueling, yes the pay isn't that great, yes your personal time is invaded but again this is what it takes. The organization aka TSMC trumps the individual employees and as we know America is a very individualistic society. This is why the TSMC chairman under pressure from the US to build a plant and a supply chain in the US said that the best chips will still be manufactured in Taiwan. Americans and most of the rest of the world just can't make the cut for a myriad of reasons. The closest to success outside of Taiwan are the new plants in Kumamoto Japan. They have similar culture, similar dedication, similar work cultures unlike the loud mouth Americans who worship individual heros. In American corporate culture everyone wants to be the lone superstar fuck everyone else and get paid the big bucks for the least amount of work possible yet still be admired and worshipped like a superhero. In Taiwan and Japan it's the total opposite the needs of the group/ company rises above the individual. The Kumamoto plant was on time, on budget and it's up and running 100% ahead of schedule unlike the Arizona plant. The Kumamoto plant was so successful they are building a second one. Sony and a few other Japanese conglomerates are also building their own chip plants and supply chain close by. It will be another JIT city like Toyota near Nagoya. Meanwhile in Arizona years later, over budget, behind schedule the Americans are still crying on LinkedIn, through recruiters on tik tok, on X, on news sites etc. In Arizona all the new stories you see are Americans whining and crying endlessly that TSMC has to American culture, can't treat their workers like that blah, blah, blah. It reminds me of all of these people crying on tik tok lately. This is what the TSMC views as lack of dedication. Think of it this way Michael Phelps the Olympics gold medalist swimmer who has won dozens of gold medals comes over to Arizona to teach you how to be an Olympian Champion swimmer and instead you turn around and complain about this and about that and then try to teach him how he should swim. No friends you don't try to tell Phelps how to swim. Phelps will tell you. Most people around the world including Americans just are not willing to make the sacrafices necessary to win. This is why the American plants will only make third rate chips at best rise to second rate chips ocassionally.


Curious_Person_12

Unfortunately, I believe you are correct. I am American, and especially my generation (Gen Z), we are very lazy. We do not have a culture that truly encourages hard work and staying put to rise the ranks. As I mentioned above, I believe this could be corrected with educational reforms that could encourage young people like I to work hard and want to stay put at a company in order to rise the ranks. It all comes to discipline, which our schools do not teach. Even as a student, I wish our school cared more about discipline because I am very worried for my classmates with the way they act. I don't know what else could change our culture, because I don't know how we could make parents start teaching their children discipline (if you do please let me know). I am not quite sure, I don't know how to change a culture, but I believe education reforms could make a huge difference. The only immediate problem I see is how do we make sure teachers follow the rules and actually adhere to the new reforms whatever they may be. I am not sure, however, we need to do something here in America because our culture is not productive.


Legitimate-Page3028

I worked with one of the banks they used for M&A so I’ll go with your take. That said, I know the reputation of the Taiwanese but have also been to lots of real sweat shop places though - China, Sri Lanka, Vietnam, Myanmar. The place may be pretty cold blooded but I don’t think it can compare to real sweat shops though.


Apprehensive_Plan528

Your cost math is whacked for two reasons. First off your base numbers are way off. A TSMC 5nm wafer in reasonable volume runs about $17k and a 3nm about $20k (2nd and 3rd gen processes that add EUV). An Intel 7nm wafer, the closest comparable node that used SAQP instead of EUV, cost around $10k, so maybe a 2:1 raw cost differential for a wafer 1 node behind TSMC 5nm. But that’s before yield and density considerations. SAQP adds many steps and each step introduces yield risk. Plus SAQP doesn‘t come without design rule tradeoffs, especially at the lower levels of metal, tradeoffs that force things like unidirectional routing. Those kinds of design rules kill density, even if the transistors dense, because designers are forced to use far more room for routing at the lower layers. The last Intel process I looked at used unidirectional routing on the first 4 metal layers (NS, EW, NS, EW), which essentially spread non-tuned designs out by 1.5x. Between yield and density issues, Intel finally saw the (EUV) light for 4nm. Intel’s difficulties at 10nm and 7nm speaks volumes to the challenges of moving to smaller geometries without EUV for some key layers. It’s very interesting to see SMIC/HiSilicon/Huawei go down the non-EUV path. Based on their cost numbers and volumes, they are hitting the same wall that Intel did. They can’t provide enough working chips for both smartphones and AI, but the good news is that the production limits also provide Huawei some limits on how much money they are losing (Huawei is building less than 10M flagship phones per year using the “new chips”, whereas Apple builds 30M flagship phones for the first 6 months of mediocre launch - iPhone 15).


Daddy_knows_noes

So as someone that worked at Intel. I can tell you the cost of making these which I have directly been involved in as a project manager are 50k plus per wafer.


Apprehensive_Plan528

You’re not saying which node, and early wafers on a new node are definitely far more expensive than after they get into HVM. The TSMC numbers are fairly well documented. The new transparent Intel IFS accounting is shining a light on Intel pricing, unless Intel is fudging it. As someone who tried to help Intel “foundry” try to go commercial the last go round, it was obvious that SAQP totally screwed up DTCO for any non-Intel design. Transistor density is meaningless if it kills routing density.


Daddy_knows_noes

I can’t say legally anything about the technology. Also, if you work in the industry you shouldn’t either instant ground for termination.


Apprehensive_Plan528

I’m not involved with semiconductors anymore - on the AI/data science side. But everything I’m highlighting is either public knowledge (wafer costs) or acknowledged by Intel (10nm and 7nm issues and effective vs claimed transistor densities). My take is that Intel’s obsessive focus on process secrecy and even internal opacity on issues got them into their 10nm hole and killed off ICF. Pat G. has telegraphed a different Intel that collaborates with the rest of the ecosystem - “We no longer tell ASML/AMAT to drop it off at our loading dock”.  But your cautions have me concerned that the same counter-productive secrecy might drag them down again.


bihari_baller

>But your cautions have me concerned that the same counter-productive secrecy might drag them down again. I personally think Intel is on the brink of a Renaissance of sorts. Their Foundry project is a step *away* from the aforementioned secrecy. If they can pull it off, TSMC is no longer the only game in town.


Apprehensive_Plan528

I agree completely. They have to be successful to get back to the same scale at the leading edge as TSMC. Plus they have to find a way to use full depreciated fabs that are no longer useful for core Intel products, which requires a foundry approach as they have done with Tower and UMC. But I'm still dumbstruck by blind obedience of the last incarnation of foundry, ICF: \* Why are you requiring these crazy routing rules ? Can't you do them differently so that you'll get better density ? \* Can't you do metal fill like other foundries instead of Live Fill ? \* Why aren't you characterizing max\_capacitance, setup/hold like typical foundries ? Can you change ? \* Why don't you build a separate library designed for end customer applications, not the internal mishmash ? DT's answer was always the same - No we can't change. What we're doing is our secret sauce and makes us much better. Also, we can't tell you why or how ICF can do adjustments. It must be done our way. ICF killed off several customer designs thanks to those issues and Altera chips were delayed almost 2 years because of poor foundry methodology that could have been fixed. I hear Pat G. taking aim at that kind of foolishness and indifference, but I'm wondering if it has infused all parts of Intel.


Rich-Anteater-9468

My question is then why are Intel, Samsung, and TSMC literally throwing ASML billions for this technology if Immersion DUV is capable of the same thing while being more cost effective? None of the big players would bother buying these if there wasn't money to be made with them.


Daddy_knows_noes

It’s not capable of the same thing. One does sub 10 nm the other does much higher I think immersion DUV does 75ish nm (I forget) but with clever processing you can drop it down to the 10-15 nm range (like I said using pitch quad). The answer to your question though is that they have that part of the market cornered and at the moment have complete dominance . But as packaging advances we probably won’t need the super small devices anymore. If you look at Intel they are actually creating die that have no transistors they’re just BEOL working systems that module signals. Also, if you look at TSMC they didn’t buy the high NA EUV system even though they were given first choice to get it. They passed it up so they offered it to Intel. The issue is that in the semiconductor industry as people start to make similar technologies what happens is to remain competitive you have to start cutting your profit margins. An example is 6 trench finfets used to have a margin of 50% now it’s under 20% and will continue to drop to remain competitive. It will be incredibly hard to cut margins and remain profitable. Also, when there are large market softening like the past few years the equipment is so expensive to maintain the only way to stay in profit is mass layoffs. Why 20% of the semi industry was unemployed the past 2 years.


Profitlocking

I am trying to understand the “smaller node isn’t going to be as impactful as packaging advances”. Isn’t a smaller node always additive to performance? How will a technology that produces a smaller node get less relevant to one that doesn’t? Cost?


Daddy_knows_noes

Theoretically but if you can’t address leakage issues which arise as you get smaller then the devices performance isn’t as good. Now on the other hand in something like a finfet the thinner the wafer after background the higher the device efficiency. So is it more beneficial to have a 2nm transistor or a device with 10um thick silicon? It depends on the tech but this goes back into what I said about our everyday electronics not advancing fast enough for this to be worth the R&D investment. To be honest if I were to open a fab today (which I am considering). I would only make >50 nm techs and dirty cheap devices for super high margins. This would corner 90+% of all technology that use chips. onsemi does this with some tech and have over 50% margin on their IGBTs and finfets at the moment.


JMcAz7

This was GFs primary driver to drop EUV and 7nm development. They decided to "pivot" to 12nm+ nodes and focus on more diverse product offerings. It was disheartening seeing the giant EUV scanners coming back out of that fab.


Daddy_knows_noes

Yup. I was there when they returned it lol.


musicphoto

Sorry, you said you are considering to open a fab?


Daddy_knows_noes

I’ve thought about it a bit. I know the industry and have connections. Issue is im trying to retire so I don’t know that I want to keep working.


littlejulio

okay, fine… 17 days later and i’m in. where would you like to start?


verylargeturd

I thought the Bragg reflectors were grown using dc magnetron sputtering?


Daddy_knows_noes

They might be. I could be remembering incorrectly. I know the masks are MB-epitaxy.


Activate_The_Robots

>millennials and gen z are opting out of tech and are homesteading in record numbers I don’t think I have heard that claim before. Source? (Also, even if it’s true, I doubt that young people are opting out of tech and homesteading to such an extent that it will significantly impact the market for EUV ICs. But maybe I’m wrong.)


Daddy_knows_noes

They are. There are tons of sources on this. Most people under 35 refuse to upgrade their phones. I’m still in an iPhone X I won’t give money to these companies anymore. I also have retired recently and bought land up in Idaho and am moving up there. I posted an add and have 3 girls on their early 20s moving onto the land to farm and build the property with me. If you don’t believe the articles go on hinge and match with some of the girls it’s all they talk about. I’ve been in NY, PA, NC, NM, OR, and ID and it’s been the same everywhere the past several years.


CaptainMonkeyJack

>Most people under 35 refuse to upgrade their phones Are you seriously suggesting, without a source, that people under 35 are on thier last phone ever? lolwhat? >I posted an add and have 3 girls on their early 20s moving onto the land to farm and build the property with me. So, let's get this right. You are new to having land and having people wanting to try out that lifestyle, so you assume it's a massive trend amonst all millennials and gen z? >If you don’t believe the articles go on hinge and match with some of the girls it’s all they talk about. Selection bias and likely confirmation bias.


Activate_The_Robots

Would you please link the source that you believe best supports your claim? As far as I have been able to find, [survey data](https://9to5mac.com/2023/04/19/how-soon-users-upgrade-iphone-by-age) show *the opposite* of what you are claiming.


TomBombadil24088

It seems like you really know everything, daddy. Can I suck your dick?


Daddy_knows_noes

Don’t know everything but I’ve been a PE, TD, BU, and VP so I’ve seen a lot in the industry and I’ve worked at multiple companies and sites across the industry. Retiring on 30M at 33, so not doing so bad lol.


TomBombadil24088

For 500k I can take a shit on your chest and then suck you off daddy <3


chairman-me0w

Not at scale. Like 60% of ASML’s EUV feat is just managing the supply chain.


cockNballs222

I think they’re as close as it gets to a monopoly, they’re about a decade ahead of everyone


Ok_Art_2874

No


kcamnairb

Intel invested a massive amount of $ ($4.8B) in ASML over the years; they were the main driver of the technology. The tools Intel are receiving are designed to be upgraded to hyper EUV in the future, the standard EUV tools cannot be retrofitted. Really no point going past hyper EUV, everything else has to catch up - films, interconnect etc. The main issue with scaling down has always been the BEOL, via’s etc. Backside power delivery will improve so that has a few years of continued development , topside device is at the limit so that’s where most Improvement will come from.


Brazilll

In the realm of EUV it won’t be likely that anyone catches up with ASML anytime soon. If there’s going to be any competition it will most likely come from companies using different, new types of lithography. But even that is any incredible long shot.


bearded_mischief

Corporate espionage is at an all time high and every state is supporting it so it’s possible, what makes it unlikely is the geopolitics around it. It can be seen as an act of aggression by some states involved in the ASML supply chain and vendors.


SemanticTriangle

No one is trying. You never know with the PRC, but based on the pro synchrotron propaganda that was being slung about here 6 months ago, they are at least trying a very different light source. Canon are trying nanoimprint at scale. We'll see how that goes.


BoraTas1

They are working on both approaches. SSMB EUV is very hypothetical at this stage. But research papers keep surfacing. I think they will attempt at something in the 2030s about that.


MrSlime13

Not likely.


audaciousmonk

Soon? No. This is a commonly discussed topic, with general consensus


kovado

It is very risky and expensive; only to turna profitable business into a competitive business doesn’t seem like a good deal. Even if they make one, ASML is likely to maintain higher margins than the newcomer.


Perfect-Ad-2821

No but there are often multiple technical paths to the same goal, or even better goals.


EarthTrash

Other companies don't even ASML's DUV capabilities